设计 任务书 文档 开题 答辩 说明书 格式 模板 外文 翻译 范文 资料 作品 文献 课程 实习 指导 调研 下载 网络教育 计算机 网站 网页 小程序 商城 购物 订餐 电影 安卓 Android Html Html5 SSM SSH Python 爬虫 大数据 管理系统 图书 校园网 考试 选题 网络安全 推荐系统 机械 模具 夹具 自动化 数控 车床 汽车 故障 诊断 电机 建模 机械手 去壳机 千斤顶 变速器 减速器 图纸 电气 变电站 电子 Stm32 单片机 物联网 监控 密码锁 Plc 组态 控制 智能 Matlab 土木 建筑 结构 框架 教学楼 住宅楼 造价 施工 办公楼 给水 排水 桥梁 刚构桥 水利 重力坝 水库 采矿 环境 化工 固废 工厂 视觉传达 室内设计 产品设计 电子商务 物流 盈利 案例 分析 评估 报告 营销 报销 会计
 首 页 机械毕业设计 电子电气毕业设计 计算机毕业设计 土木工程毕业设计 视觉传达毕业设计 理工论文 文科论文 毕设资料 帮助中心 设计流程 
垫片
您现在所在的位置:首页 >>理工论文 >> 文章内容
                 
垫片
   我们提供全套毕业设计和毕业论文服务,联系微信号:biyezuopinvvp QQ:2922748026   
模型机硬连线控制器设计
文章来源:www.biyezuopin.vip   发布者:毕业作品网站  
stify: inter-ideograph;">PC←@ + offset

1000

offset

无条件转

JMP [Rd]

PC←Rd

1001

Rd

XX

停机

STOP

暂停运行

1110

XX

XX

表 13.1 中,XX 代表随意值。Rs 代表源寄存器号,Rd 代表目的寄存器号。在条件转移指令中,@代表当前 PC 的值,offset 是一个 4 位的有符号数,第 3 位是符号位,0 代表正数,

1 代表负数。注意:@不是当前指令的 PC 值,是当前指令的 PC 值加 1。

2.在 Quartus Ⅱ下对硬连线控制器对设计方案进行编程和编译

3.将编译后的硬连线控制器下载到 TEC-8 实验台上的 ISP 器件 EPM7128 中去,使 EPM7128 成为一个硬连线控制器。

4.根据指令系统,编写检测硬连线控制器正确性的测试程序,并用测试程序对硬布线控制器在单拍方式下进行调试,直到成功。

5.在调试成功的基础上,整理出设计文件,包括:

⑴硬连线控制器逻辑模块图

⑵硬连线控制器指令周期流程图

⑶硬连线控制器的 VHDL 源程序

⑷测试程序

⑸设计说明书

⑹调试总结

四、设计提示

1.硬连线控制器的基本原理

硬连线控制器的基本原理,每个微操作控制信号 S 是一系列输入量的逻辑函数,即用组合逻辑来实现,

S = f(Im,Mi,Tk,Bj)

其中 Im 是机器指令操作码译码器的输出信号,Mi 是节拍电位信号,Tk 是节拍脉冲信号,Bj 是状态条件信号。

在 TEC-8 实验系统中,节拍脉冲信号 Tk(T1~T3)已经直接输送给数据通路。因为机器指令系统比较简单,省去操作码译码器,4 位指令操作码 IR4~IR7 直接成为 Im 的一部分; 由于 TEC-8 实验系统有控制台操作,控制台操作可以看作一些特殊的功能复杂的指令,因此


SWC、SWB、SWA 可以看作是 Im 的另一部分。Mi 是时序发生器产生的节拍信号 W1~W3;Bj 包括 ALU 产生的进位信号 C、结果为 0 信号 Z 等等。

2.机器指令周期流程图设计

设计微程序控制器使用流程图。设计硬连线控制器同样使用流程图。微程序控制器的控制信号以微指令周期为时间单位,硬连线控制器以节拍电位(CPU 周期)为时间单位,两者在本质上是一样的,1 个节拍电位时间和 1 条微指令时间都是从节拍脉冲 T1 的上升沿到 T3 的下降沿的一段时间。在微程序控制器流程图中,一个执行框代表一条微指令,在硬连线控制器流程图中,一个执行框代表一个节拍电位时间。

3. 执行一条机器指令的节拍电位数

在 TEC-8 实验系统中,采用了可变节拍电位数来执行一条机器指令。大部分指令的执行只需 2 个节拍电位 W1、W2,少数指令需要 3 个节拍电位 W1、W2、W3。为了满足这种要求, 在执行一条指令时除了产生完成指令功能所需的微操作控制信号外,对需要 3 个电位节拍的指令,还要求它在 W2 时产生一个信号 LONG。信号 LONG 送往时序信号发生器,时序信号发生器接到信号 LONG 后产生节拍电位 W3。

对于一些控制台操作,需要 4 个节拍电位才能完成规定的功能。为了满足这种情况,

可以将控制台操作化成两条机器指令的节拍。为了区分写寄存器操作的 2 个不同阶段,可以用某些特殊的寄存器标志标。例如建立一个 FLAG 标志,当 FLAG=0 时,表示该控制台操作的第 1 个 W1、W2;当 FLAG=1 时,表示该控制台操作的第 2 个 W1、W2。

为了适应更为广泛的情况,TEC-8 的时序信号发生器允许只产生一个节拍电位 W1。当

1 条指令或者一个控制台在 W1 时,只要产生信号 SHORT,该信号送往时序信号发生器,则时序信号发生器在 W1 后不产生节拍电位 W2,下一个节拍仍是 W1。

信号 LONG 和 SHORT 只对紧跟其后的第一个节拍电位的产生起作用。

在硬连线控制器中,控制台操作的流程图与机器指令流程图类似,图 3.1 画出了硬连线控制器的机器周期参考流程图。


ST0=0

ST0=1

SBUS LAR STOP SST0 SHORT

SELCTL

SBUS MEMW ARINC

STOP SHORT SELCTL

图 3.1 硬连线控制器参考流程图

4. 组合逻辑译码表

设计出硬连线流程图后,就可以设计译码电路。传统的做法是先根据流程图列出译码表, 作为逻辑设计的根据。译码表的内容包括横向设计和纵向设计,流程图中横向为一拍(W1、

W2、W3),纵向为一条指令。而译码逻辑是针对每一个控制信号的,因此在译码表中,横向变成了一个信号。表 3.2 是译码表的一般格式,每行中的内容表示某个控制信号在各指令中的有效条件,主要是节拍电位和节拍脉冲指令操作码的译码器输出、执行结果标志信号等。根据译码表,很容易写出逻辑表达式。

表 3.2 组合逻辑译码表的一般格式

指令 IR

ADD

SUB

AND

……

LIR

W1

W1

W1

M

W2

S3

W2

W2

S2

W2

S1

W2

W2

……

与传统方法稍有不同的是,使用 VHDL 语言设计时,可根据流程图直接写出相应的语言描述。以表 3.2 中的 ADD、SUB、AND 为例,可描述如下:

process (IR,W1,W2,W3) --这里的 IR 实际上是指令操作码,即 IR4~IR7


begin

LIR <= '0';

M <= '0';

S3 <= '0';

S2 <= '0';

S1 <= '0';

case IR is

when "0001" => LIR <= W1; S3 <= W2;

when "0010" => LIR <= W1; S2 <= W2; S1 <= W2;

when "0011" => LIR <= W1; M <= W2; S3 <= W2; S1 <= W2;

……

很明显,这种方法省略了译码表,且不容易出错。

5.EPM7128 器件的引脚

TEC-8 实验系统中的硬连线控制器是用 1 片 EPM7128 器件构成的。为了使学生将主要精力集中在硬连线控制器的设计和调试上,硬连线控制器和数据通路之间不采用接插线方式连接,在印制电路板上已经用印制导线进行了连接。这就要求硬连线控制器所需的信号的输出、输入信号的引脚号必须符合表 3.3 中的规定。


表 3.3 作为硬连线控制器时的 EPM7128 引脚规定

, ideograph;">LPC

输出

22

SEL0

输出

48

LAR

输出

25

SEL1

输出

49

PCADD

输出

18

SEL2

输出

50

ARINC

输出

24

SEL3

输出

51

SELCTL

输出

52

6. 调试

由于使用在系统可编程器件,集成度高,灵活性强,,编程、下载方便,用于硬连线控制器将使调试简单。控制器内部连线集中在集中在器件内部,由软件自动完成,其速度、准确率和可靠性都是人工接线难以比拟的。

用 EDA 技术进行设计,可以使用软件模拟的向量测试对设计进行初步调试。软件模拟和。使用向量测试时,向量测试方程的设计应全面,尽量覆盖所有的可能性。

在软件模拟测试后,将设计下载到 EPM7128 器件中。将控制开关拨到硬连线控制器方式, 硬连线灯亮。首先单拍(DP=1)方式检查控制台操作功能。第二步将测试程序写入存储器,以单拍方式执行程序,直到按照流程图全部检查完毕。在测试过程中,要充分利用 TEC-8 实验系统上的各种信号指示灯。

五、设计报告要求

1.采用 VHDL 语言描述硬连线控制器的设计,列出设计源程序。

2.测试程序。

3.写出调试中出现的问题、解决办法、验收结果。

4.写出设计、调试中遇到的困难和心得体会。

六、测试报告

一、写存储器模式:


信号

方向

引脚号

信号

方向

引脚号

CLR#

输入

1

MEMW

输出

27

T3

输入

83

STOP

输出

28

SWA

输入

4

LIR

输出

29

SWB

输入

5

LDZ

输出

30

SWC

输入

6

LDC

输出

31

IR4

输入

8

CIN

输出

33

IR5

输入

9

S0

输出

34

IR6

输入

10

S1

输出

35

IR7

输入

11

S2

输出

36

W1

输入

12

S3

输出

37

W2

输入

15

M

输出

39

W3

输入

16

ABUS

输出

40

C

输入

2

SBUS

输出

41

Z

输入

84

MBUS

输出

44

DRW

输出

20

SHORT

输出

45

PCINC

输出

21

LONG

输出

46

序号

操作模式

动作

微址

SD

D

AR

备注

1

1001

按 CLR

00

初始化

2

1001

按 QD

03

00

00

进入写存储器

3

1001

按 QD

02

XX

XX

00

写入数据

4

1001

按 QD

02

XX

XX

01

XX 是用 SD 拨入的数据【以下同】

二、读存储器模式:

序号

操作模式

动作

微址

SD

D

AR

备注

1

1010

按 CLR

00

初始化

2

1010

按 QD

05

00

00

进入读存储器

3

1010

按 QD

04

XX

00

读出数据

4

1010

按 QD

04

XX

01

三、写寄存器模式:

序号

操作模式

动作

微址

SD

D

B

备注

1

1100

按 CLR

00

2

1100

按 QD

09

01

01

置【R0】

3

1100

按 QD

08

XX

XX

XX

置【R1】

4

1100

按 QD

0A

XX

XX

XX

置【R2】

5

1100

按 QD

0C

XX

XX

XX

置【R3】

6

1100

按 QD

00

XX

XX

XX

四、读寄存器模式:

序号

操作模式

动作

微址

A

B

备注

1

1011

按 CLR

00

2

1011

按 QD

07

XX

XX

【R0】【R1】

3

1011

按 QD

06

XX

XX

【R2】【R3】

4

1011

按 QD

00

01

01


3.1.2 实验报告记录

,

4 个课程设计实验是大型的综合性研究课题。采用大容量的 ISP(在系统可编程)器件或,

集中安排在小学期 2 周内独立完成。经验证明,课程综合设计是理论与实践相统一、培养学生研究途径的有效途径。学生根据自己情况选择其中 1~2 个课题,其中使用硬连线控制器的

CPU 设计必做。

3.1 模型机硬连线控制器设计

3.1.1 模型机硬连线控制器设计部分一、教学目的

⑴ 融会贯通计算机组成与体系结构课程各章教学内容,通过知识的综合运用,加深对

CPU 各 模块工作原理及相互联系的认识。

⑵ 掌握硬连线控制器的设计方法。

⑶ 学习运用当代的 EDA 设计工具,掌握用 EDA 设计大规模复杂逻辑电路的方法。

⑷ 培养科学研究能力,取得设计和调试的实践经验。二、实验设备

三、设计与调试任务

1.设计一个硬连线控制器,和 TEC-8 模型计算机的数据通路结合在一起,构成一个完整的CPU,该 CPU 要求:

⑴ 能够完成控制台操作:启动程序运行、读存储器、写存储器、读寄存器和写寄存器。

⑵ 能够执行表 3.1 中的指令,完成规定的指令功能。


表 3.1 新设计 CPU 的指令系统

名称

助记符

功 能

指令格式

IR(7-4)

IR(3-2)

IR(1-0)

加法

ADD Rd, Rs

Rd←Rd + Rs

0001

Rd

Rs

减法

SUB Rd, Rs

Rd←Rd - Rs

0010

Rd

Rs

逻辑与

AND Rd, Rs

Rd←Rd and Rs

0011

Rd

Rs

加 1

INC Rd

Rd←Rd + 1

0100

Rd

XX

取数

LD Rd, [Rs]

Rd←[Rs]

0101

Rd

Rs

存数

ST Rs, [Rd]

Rs→[Rd]

0110

Rd

Rs

C 条件转

JC addr

如果 C=1,则

PC←@ + offset

0111

offset

Z 条件转

JZ addr

如果 Z=1,则

  全套毕业设计论文现成成品资料请咨询微信号:biyezuopinvvp QQ:2922748026     返回首页 如转载请注明来源于www.biyezuopin.vip  

                 

打印本页 | 关闭窗口
| 关于我们 | 友情链接 | 毕业设计招聘 |

Email:biyeshejiba@163.com 微信号:biyezuopinvvp QQ:2922748026  
本站毕业设计毕业论文资料均属原创者所有,仅供学习交流之用,请勿转载并做其他非法用途.如有侵犯您的版权有损您的利益,请联系我们会立即改正或删除有关内容!